How to use Verilog HDL on Ubuntu?





.everyoneloves__top-leaderboard:empty,.everyoneloves__mid-leaderboard:empty,.everyoneloves__bot-mid-leaderboard:empty{ margin-bottom:0;
}







8















I tried to begin a small course with some examples in Verilog Hdl
and after spending much time searching for the best Simulator or IDE to practice with some examples , I failed to figure out how to use it on Ubuntu 12.04



So, I have two questions :




  1. How can I use Verilog on Ubuntu 12.04?


  2. What is the best Simulator (or IDE) available for Linux?











share|improve this question































    8















    I tried to begin a small course with some examples in Verilog Hdl
    and after spending much time searching for the best Simulator or IDE to practice with some examples , I failed to figure out how to use it on Ubuntu 12.04



    So, I have two questions :




    1. How can I use Verilog on Ubuntu 12.04?


    2. What is the best Simulator (or IDE) available for Linux?











    share|improve this question



























      8












      8








      8


      4






      I tried to begin a small course with some examples in Verilog Hdl
      and after spending much time searching for the best Simulator or IDE to practice with some examples , I failed to figure out how to use it on Ubuntu 12.04



      So, I have two questions :




      1. How can I use Verilog on Ubuntu 12.04?


      2. What is the best Simulator (or IDE) available for Linux?











      share|improve this question
















      I tried to begin a small course with some examples in Verilog Hdl
      and after spending much time searching for the best Simulator or IDE to practice with some examples , I failed to figure out how to use it on Ubuntu 12.04



      So, I have two questions :




      1. How can I use Verilog on Ubuntu 12.04?


      2. What is the best Simulator (or IDE) available for Linux?








      programming






      share|improve this question















      share|improve this question













      share|improve this question




      share|improve this question








      edited Nov 9 '17 at 2:35









      muru

      1




      1










      asked Nov 15 '12 at 11:42









      amrroamrro

      2454820




      2454820






















          5 Answers
          5






          active

          oldest

          votes


















          3














          You can download SynpatiCAD's Linux Verilog simulator which is Ubuntu compatible. It includes a command line simulator and a graphical IDE. After you install it, you can run the tool and request a free 6 month license for the simulator.






          share|improve this answer































            5














            Does this post help: Verilog and VHDL on Linux (Ubuntu)?



            It recommends a combined use of Icarus Verilog (iverilog in repositories) for Verilog simulation, GHDL for VHDL simulation, and GTKWave (gtkwave in repositories) for waveform viewing.



            If you want an Eclipse-based Verilog editor, try veditor.






            share|improve this answer


























            • but the Veditor doesn't open i open it with JDK6 and no respond or there is another way to launch it

              – amrro
              Nov 15 '12 at 19:02











            • It is not a standalone product, but an eclipse plugin; install eclipse, then follow the instructions here: sourceforge.net/apps/mediawiki/veditor/…

              – Tom Regner
              Nov 15 '12 at 19:06











            • ok i want another favor ,, could you update your answer by how to install icarus verilog ,, ican't install it

              – amrro
              Nov 15 '12 at 19:24











            • ghdl give me that error ... Package ghdl is not available, but is referred to by another package. This may mean that the package is missing, has been obsoleted, or is only available from another source E: Package 'ghdl' has no installation candidate

              – amrro
              Nov 16 '12 at 9:33











            • Ah - found mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html; due to build issues ghdl is dropped from debian ATM, and therefor also in ubuntu as of 12.04; you might want to try to compile it yourself (and install it via checkinstall) - but talking/writing you through that is beyond what my time allows

              – Tom Regner
              Nov 16 '12 at 9:53



















            1














            You can also use the IDE environments for FPGA development. Altera Quartus for Altera (Intel) FPGA or Xilinx ISE for Xilinx devices. These environments allow you to write VHDL and Verilog source code and include simulators.






            share|improve this answer
























            • Personally been using Quartus Prime, good enough IDE, although if you're going to download verilog code onto actual FPGA, you need to edit udev rules for the device to be recognized.

              – Sergiy Kolodyazhnyy
              Oct 8 '18 at 18:36



















            1














            sudo apt-get install iverilog;

            sudo apt-get install gtkwave;


            To see if everything is working correctly, lets do the hello-world of verilog.



            nano hello.v


            then write the following hello-world code



                module main;

            initial
            begin
            $display("Hello world");
            $finish;
            end

            endmodule


            Then compile



            iverilog hello.v -o hello


            and finally run your code



            vvp hello





            share|improve this answer































              -1














              Try this command in a terminal window:



              sudo apt-get install gplcver





              share|improve this answer


























              • This doesn't answer the question. Please elaborate your answer. What is gplcver? How to use it?

                – Eric Carvalho
                Sep 16 '13 at 10:31












              Your Answer








              StackExchange.ready(function() {
              var channelOptions = {
              tags: "".split(" "),
              id: "89"
              };
              initTagRenderer("".split(" "), "".split(" "), channelOptions);

              StackExchange.using("externalEditor", function() {
              // Have to fire editor after snippets, if snippets enabled
              if (StackExchange.settings.snippets.snippetsEnabled) {
              StackExchange.using("snippets", function() {
              createEditor();
              });
              }
              else {
              createEditor();
              }
              });

              function createEditor() {
              StackExchange.prepareEditor({
              heartbeatType: 'answer',
              autoActivateHeartbeat: false,
              convertImagesToLinks: true,
              noModals: true,
              showLowRepImageUploadWarning: true,
              reputationToPostImages: 10,
              bindNavPrevention: true,
              postfix: "",
              imageUploader: {
              brandingHtml: "Powered by u003ca class="icon-imgur-white" href="https://imgur.com/"u003eu003c/au003e",
              contentPolicyHtml: "User contributions licensed under u003ca href="https://creativecommons.org/licenses/by-sa/3.0/"u003ecc by-sa 3.0 with attribution requiredu003c/au003e u003ca href="https://stackoverflow.com/legal/content-policy"u003e(content policy)u003c/au003e",
              allowUrls: true
              },
              onDemand: true,
              discardSelector: ".discard-answer"
              ,immediatelyShowMarkdownHelp:true
              });


              }
              });














              draft saved

              draft discarded


















              StackExchange.ready(
              function () {
              StackExchange.openid.initPostLogin('.new-post-login', 'https%3a%2f%2faskubuntu.com%2fquestions%2f217555%2fhow-to-use-verilog-hdl-on-ubuntu%23new-answer', 'question_page');
              }
              );

              Post as a guest















              Required, but never shown

























              5 Answers
              5






              active

              oldest

              votes








              5 Answers
              5






              active

              oldest

              votes









              active

              oldest

              votes






              active

              oldest

              votes









              3














              You can download SynpatiCAD's Linux Verilog simulator which is Ubuntu compatible. It includes a command line simulator and a graphical IDE. After you install it, you can run the tool and request a free 6 month license for the simulator.






              share|improve this answer




























                3














                You can download SynpatiCAD's Linux Verilog simulator which is Ubuntu compatible. It includes a command line simulator and a graphical IDE. After you install it, you can run the tool and request a free 6 month license for the simulator.






                share|improve this answer


























                  3












                  3








                  3







                  You can download SynpatiCAD's Linux Verilog simulator which is Ubuntu compatible. It includes a command line simulator and a graphical IDE. After you install it, you can run the tool and request a free 6 month license for the simulator.






                  share|improve this answer













                  You can download SynpatiCAD's Linux Verilog simulator which is Ubuntu compatible. It includes a command line simulator and a graphical IDE. After you install it, you can run the tool and request a free 6 month license for the simulator.







                  share|improve this answer












                  share|improve this answer



                  share|improve this answer










                  answered Nov 17 '12 at 5:58









                  Dan NotesteinDan Notestein

                  461




                  461

























                      5














                      Does this post help: Verilog and VHDL on Linux (Ubuntu)?



                      It recommends a combined use of Icarus Verilog (iverilog in repositories) for Verilog simulation, GHDL for VHDL simulation, and GTKWave (gtkwave in repositories) for waveform viewing.



                      If you want an Eclipse-based Verilog editor, try veditor.






                      share|improve this answer


























                      • but the Veditor doesn't open i open it with JDK6 and no respond or there is another way to launch it

                        – amrro
                        Nov 15 '12 at 19:02











                      • It is not a standalone product, but an eclipse plugin; install eclipse, then follow the instructions here: sourceforge.net/apps/mediawiki/veditor/…

                        – Tom Regner
                        Nov 15 '12 at 19:06











                      • ok i want another favor ,, could you update your answer by how to install icarus verilog ,, ican't install it

                        – amrro
                        Nov 15 '12 at 19:24











                      • ghdl give me that error ... Package ghdl is not available, but is referred to by another package. This may mean that the package is missing, has been obsoleted, or is only available from another source E: Package 'ghdl' has no installation candidate

                        – amrro
                        Nov 16 '12 at 9:33











                      • Ah - found mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html; due to build issues ghdl is dropped from debian ATM, and therefor also in ubuntu as of 12.04; you might want to try to compile it yourself (and install it via checkinstall) - but talking/writing you through that is beyond what my time allows

                        – Tom Regner
                        Nov 16 '12 at 9:53
















                      5














                      Does this post help: Verilog and VHDL on Linux (Ubuntu)?



                      It recommends a combined use of Icarus Verilog (iverilog in repositories) for Verilog simulation, GHDL for VHDL simulation, and GTKWave (gtkwave in repositories) for waveform viewing.



                      If you want an Eclipse-based Verilog editor, try veditor.






                      share|improve this answer


























                      • but the Veditor doesn't open i open it with JDK6 and no respond or there is another way to launch it

                        – amrro
                        Nov 15 '12 at 19:02











                      • It is not a standalone product, but an eclipse plugin; install eclipse, then follow the instructions here: sourceforge.net/apps/mediawiki/veditor/…

                        – Tom Regner
                        Nov 15 '12 at 19:06











                      • ok i want another favor ,, could you update your answer by how to install icarus verilog ,, ican't install it

                        – amrro
                        Nov 15 '12 at 19:24











                      • ghdl give me that error ... Package ghdl is not available, but is referred to by another package. This may mean that the package is missing, has been obsoleted, or is only available from another source E: Package 'ghdl' has no installation candidate

                        – amrro
                        Nov 16 '12 at 9:33











                      • Ah - found mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html; due to build issues ghdl is dropped from debian ATM, and therefor also in ubuntu as of 12.04; you might want to try to compile it yourself (and install it via checkinstall) - but talking/writing you through that is beyond what my time allows

                        – Tom Regner
                        Nov 16 '12 at 9:53














                      5












                      5








                      5







                      Does this post help: Verilog and VHDL on Linux (Ubuntu)?



                      It recommends a combined use of Icarus Verilog (iverilog in repositories) for Verilog simulation, GHDL for VHDL simulation, and GTKWave (gtkwave in repositories) for waveform viewing.



                      If you want an Eclipse-based Verilog editor, try veditor.






                      share|improve this answer















                      Does this post help: Verilog and VHDL on Linux (Ubuntu)?



                      It recommends a combined use of Icarus Verilog (iverilog in repositories) for Verilog simulation, GHDL for VHDL simulation, and GTKWave (gtkwave in repositories) for waveform viewing.



                      If you want an Eclipse-based Verilog editor, try veditor.







                      share|improve this answer














                      share|improve this answer



                      share|improve this answer








                      edited Nov 9 '17 at 6:16









                      fouric

                      1,95262862




                      1,95262862










                      answered Nov 15 '12 at 14:52









                      Tom RegnerTom Regner

                      43838




                      43838













                      • but the Veditor doesn't open i open it with JDK6 and no respond or there is another way to launch it

                        – amrro
                        Nov 15 '12 at 19:02











                      • It is not a standalone product, but an eclipse plugin; install eclipse, then follow the instructions here: sourceforge.net/apps/mediawiki/veditor/…

                        – Tom Regner
                        Nov 15 '12 at 19:06











                      • ok i want another favor ,, could you update your answer by how to install icarus verilog ,, ican't install it

                        – amrro
                        Nov 15 '12 at 19:24











                      • ghdl give me that error ... Package ghdl is not available, but is referred to by another package. This may mean that the package is missing, has been obsoleted, or is only available from another source E: Package 'ghdl' has no installation candidate

                        – amrro
                        Nov 16 '12 at 9:33











                      • Ah - found mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html; due to build issues ghdl is dropped from debian ATM, and therefor also in ubuntu as of 12.04; you might want to try to compile it yourself (and install it via checkinstall) - but talking/writing you through that is beyond what my time allows

                        – Tom Regner
                        Nov 16 '12 at 9:53



















                      • but the Veditor doesn't open i open it with JDK6 and no respond or there is another way to launch it

                        – amrro
                        Nov 15 '12 at 19:02











                      • It is not a standalone product, but an eclipse plugin; install eclipse, then follow the instructions here: sourceforge.net/apps/mediawiki/veditor/…

                        – Tom Regner
                        Nov 15 '12 at 19:06











                      • ok i want another favor ,, could you update your answer by how to install icarus verilog ,, ican't install it

                        – amrro
                        Nov 15 '12 at 19:24











                      • ghdl give me that error ... Package ghdl is not available, but is referred to by another package. This may mean that the package is missing, has been obsoleted, or is only available from another source E: Package 'ghdl' has no installation candidate

                        – amrro
                        Nov 16 '12 at 9:33











                      • Ah - found mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html; due to build issues ghdl is dropped from debian ATM, and therefor also in ubuntu as of 12.04; you might want to try to compile it yourself (and install it via checkinstall) - but talking/writing you through that is beyond what my time allows

                        – Tom Regner
                        Nov 16 '12 at 9:53

















                      but the Veditor doesn't open i open it with JDK6 and no respond or there is another way to launch it

                      – amrro
                      Nov 15 '12 at 19:02





                      but the Veditor doesn't open i open it with JDK6 and no respond or there is another way to launch it

                      – amrro
                      Nov 15 '12 at 19:02













                      It is not a standalone product, but an eclipse plugin; install eclipse, then follow the instructions here: sourceforge.net/apps/mediawiki/veditor/…

                      – Tom Regner
                      Nov 15 '12 at 19:06





                      It is not a standalone product, but an eclipse plugin; install eclipse, then follow the instructions here: sourceforge.net/apps/mediawiki/veditor/…

                      – Tom Regner
                      Nov 15 '12 at 19:06













                      ok i want another favor ,, could you update your answer by how to install icarus verilog ,, ican't install it

                      – amrro
                      Nov 15 '12 at 19:24





                      ok i want another favor ,, could you update your answer by how to install icarus verilog ,, ican't install it

                      – amrro
                      Nov 15 '12 at 19:24













                      ghdl give me that error ... Package ghdl is not available, but is referred to by another package. This may mean that the package is missing, has been obsoleted, or is only available from another source E: Package 'ghdl' has no installation candidate

                      – amrro
                      Nov 16 '12 at 9:33





                      ghdl give me that error ... Package ghdl is not available, but is referred to by another package. This may mean that the package is missing, has been obsoleted, or is only available from another source E: Package 'ghdl' has no installation candidate

                      – amrro
                      Nov 16 '12 at 9:33













                      Ah - found mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html; due to build issues ghdl is dropped from debian ATM, and therefor also in ubuntu as of 12.04; you might want to try to compile it yourself (and install it via checkinstall) - but talking/writing you through that is beyond what my time allows

                      – Tom Regner
                      Nov 16 '12 at 9:53





                      Ah - found mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html; due to build issues ghdl is dropped from debian ATM, and therefor also in ubuntu as of 12.04; you might want to try to compile it yourself (and install it via checkinstall) - but talking/writing you through that is beyond what my time allows

                      – Tom Regner
                      Nov 16 '12 at 9:53











                      1














                      You can also use the IDE environments for FPGA development. Altera Quartus for Altera (Intel) FPGA or Xilinx ISE for Xilinx devices. These environments allow you to write VHDL and Verilog source code and include simulators.






                      share|improve this answer
























                      • Personally been using Quartus Prime, good enough IDE, although if you're going to download verilog code onto actual FPGA, you need to edit udev rules for the device to be recognized.

                        – Sergiy Kolodyazhnyy
                        Oct 8 '18 at 18:36
















                      1














                      You can also use the IDE environments for FPGA development. Altera Quartus for Altera (Intel) FPGA or Xilinx ISE for Xilinx devices. These environments allow you to write VHDL and Verilog source code and include simulators.






                      share|improve this answer
























                      • Personally been using Quartus Prime, good enough IDE, although if you're going to download verilog code onto actual FPGA, you need to edit udev rules for the device to be recognized.

                        – Sergiy Kolodyazhnyy
                        Oct 8 '18 at 18:36














                      1












                      1








                      1







                      You can also use the IDE environments for FPGA development. Altera Quartus for Altera (Intel) FPGA or Xilinx ISE for Xilinx devices. These environments allow you to write VHDL and Verilog source code and include simulators.






                      share|improve this answer













                      You can also use the IDE environments for FPGA development. Altera Quartus for Altera (Intel) FPGA or Xilinx ISE for Xilinx devices. These environments allow you to write VHDL and Verilog source code and include simulators.







                      share|improve this answer












                      share|improve this answer



                      share|improve this answer










                      answered Oct 8 '18 at 17:06









                      jotegojotego

                      211




                      211













                      • Personally been using Quartus Prime, good enough IDE, although if you're going to download verilog code onto actual FPGA, you need to edit udev rules for the device to be recognized.

                        – Sergiy Kolodyazhnyy
                        Oct 8 '18 at 18:36



















                      • Personally been using Quartus Prime, good enough IDE, although if you're going to download verilog code onto actual FPGA, you need to edit udev rules for the device to be recognized.

                        – Sergiy Kolodyazhnyy
                        Oct 8 '18 at 18:36

















                      Personally been using Quartus Prime, good enough IDE, although if you're going to download verilog code onto actual FPGA, you need to edit udev rules for the device to be recognized.

                      – Sergiy Kolodyazhnyy
                      Oct 8 '18 at 18:36





                      Personally been using Quartus Prime, good enough IDE, although if you're going to download verilog code onto actual FPGA, you need to edit udev rules for the device to be recognized.

                      – Sergiy Kolodyazhnyy
                      Oct 8 '18 at 18:36











                      1














                      sudo apt-get install iverilog;

                      sudo apt-get install gtkwave;


                      To see if everything is working correctly, lets do the hello-world of verilog.



                      nano hello.v


                      then write the following hello-world code



                          module main;

                      initial
                      begin
                      $display("Hello world");
                      $finish;
                      end

                      endmodule


                      Then compile



                      iverilog hello.v -o hello


                      and finally run your code



                      vvp hello





                      share|improve this answer




























                        1














                        sudo apt-get install iverilog;

                        sudo apt-get install gtkwave;


                        To see if everything is working correctly, lets do the hello-world of verilog.



                        nano hello.v


                        then write the following hello-world code



                            module main;

                        initial
                        begin
                        $display("Hello world");
                        $finish;
                        end

                        endmodule


                        Then compile



                        iverilog hello.v -o hello


                        and finally run your code



                        vvp hello





                        share|improve this answer


























                          1












                          1








                          1







                          sudo apt-get install iverilog;

                          sudo apt-get install gtkwave;


                          To see if everything is working correctly, lets do the hello-world of verilog.



                          nano hello.v


                          then write the following hello-world code



                              module main;

                          initial
                          begin
                          $display("Hello world");
                          $finish;
                          end

                          endmodule


                          Then compile



                          iverilog hello.v -o hello


                          and finally run your code



                          vvp hello





                          share|improve this answer













                          sudo apt-get install iverilog;

                          sudo apt-get install gtkwave;


                          To see if everything is working correctly, lets do the hello-world of verilog.



                          nano hello.v


                          then write the following hello-world code



                              module main;

                          initial
                          begin
                          $display("Hello world");
                          $finish;
                          end

                          endmodule


                          Then compile



                          iverilog hello.v -o hello


                          and finally run your code



                          vvp hello






                          share|improve this answer












                          share|improve this answer



                          share|improve this answer










                          answered Feb 9 at 17:04









                          user10842694user10842694

                          111




                          111























                              -1














                              Try this command in a terminal window:



                              sudo apt-get install gplcver





                              share|improve this answer


























                              • This doesn't answer the question. Please elaborate your answer. What is gplcver? How to use it?

                                – Eric Carvalho
                                Sep 16 '13 at 10:31
















                              -1














                              Try this command in a terminal window:



                              sudo apt-get install gplcver





                              share|improve this answer


























                              • This doesn't answer the question. Please elaborate your answer. What is gplcver? How to use it?

                                – Eric Carvalho
                                Sep 16 '13 at 10:31














                              -1












                              -1








                              -1







                              Try this command in a terminal window:



                              sudo apt-get install gplcver





                              share|improve this answer















                              Try this command in a terminal window:



                              sudo apt-get install gplcver






                              share|improve this answer














                              share|improve this answer



                              share|improve this answer








                              edited Sep 16 '13 at 8:47









                              Kevin Bowen

                              14.8k155970




                              14.8k155970










                              answered Sep 16 '13 at 8:38









                              linux operatorlinux operator

                              1




                              1













                              • This doesn't answer the question. Please elaborate your answer. What is gplcver? How to use it?

                                – Eric Carvalho
                                Sep 16 '13 at 10:31



















                              • This doesn't answer the question. Please elaborate your answer. What is gplcver? How to use it?

                                – Eric Carvalho
                                Sep 16 '13 at 10:31

















                              This doesn't answer the question. Please elaborate your answer. What is gplcver? How to use it?

                              – Eric Carvalho
                              Sep 16 '13 at 10:31





                              This doesn't answer the question. Please elaborate your answer. What is gplcver? How to use it?

                              – Eric Carvalho
                              Sep 16 '13 at 10:31


















                              draft saved

                              draft discarded




















































                              Thanks for contributing an answer to Ask Ubuntu!


                              • Please be sure to answer the question. Provide details and share your research!

                              But avoid



                              • Asking for help, clarification, or responding to other answers.

                              • Making statements based on opinion; back them up with references or personal experience.


                              To learn more, see our tips on writing great answers.




                              draft saved


                              draft discarded














                              StackExchange.ready(
                              function () {
                              StackExchange.openid.initPostLogin('.new-post-login', 'https%3a%2f%2faskubuntu.com%2fquestions%2f217555%2fhow-to-use-verilog-hdl-on-ubuntu%23new-answer', 'question_page');
                              }
                              );

                              Post as a guest















                              Required, but never shown





















































                              Required, but never shown














                              Required, but never shown












                              Required, but never shown







                              Required, but never shown

































                              Required, but never shown














                              Required, but never shown












                              Required, but never shown







                              Required, but never shown







                              Popular posts from this blog

                              Human spaceflight

                              Can not write log (Is /dev/pts mounted?) - openpty in Ubuntu-on-Windows?

                              File:DeusFollowingSea.jpg